Covered 0.7.10

许可: 免费 ‎文件大小: N/A
‎用户评分: 4.3/5 - ‎8 ‎评分

关于 Covered

涵盖的是使用VCD/LXT/FST转储文件(或VPI接口)的 Verilog 代码覆盖实用程序,以及用于生成线路、切换、内存、组合逻辑、FSM 状态/弧和断言覆盖率报告指标的设计,可通过 GUI 或 ASCII 格式查看。